• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 161건

기술의 로봇이 개발되면서 인간의 삶을 더욱 편하게 도움을 줄 것이다. 그래서 공학자의 공학윤리가 중요한 이유도 어떤 제품을 설계하느냐에 따라서 사회에 미칠 영향이 매우 다르기 때문이다. 참고문헌 레고마인드스톰(2007), Dave Baum, 홍릉
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
분석하고, 현대에 이르러서는 매스미디어를 통해 구체적으로 가족 이데올로기의 어떤 부분이 무슨 형식을 통해 자신의 정당성을 확보해 내는지 구체적 사례의 수집과 분석을 시도 하였으며, 또한 현재 자본주의의 위기상태에서 가족을 강화
  • 페이지 14페이지
  • 가격 2,000원
  • 발행일 2008.05.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
최소화하기 위한 모의 훈련을 병행 훈련함으로서 완벽한 경호업무를 수행하여야 할 것이다. 마지막으로 경호무도의 발전을 위하여 경호 무도학의 학문적 정립이 절실히 필요하며, 학문적 기초를 바탕으로 경호기법에 입각한 각종 모의상황
  • 페이지 45페이지
  • 가격 3,000원
  • 발행일 2011.09.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
범위설정과 관련한 헌법상의 기본원리 나. 인사청문의 법적 근거 다. 미국헌법과의 차이점 3. 관련판례의 검토 가. 판례의 내용 나. 쟁점의 분석 4. 선거관리위원회법 제4조제1항의 위헌성 Ⅳ. 결론 참고문헌
  • 페이지 12페이지
  • 가격 2,000원
  • 발행일 2008.02.29
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
분석하고, 이를 바탕으로 한국기업의 대북투자 활성화 방안을 제시하고자 하였다. 그러나 연구의 한계점으로 연구에 필요한 자료 불충분과 실증적으로 검증하지 못하고 이론적인 타당성 제시하는 데 그치고 있다는 한계점을 가지고 있다 .
  • 페이지 29페이지
  • 가격 4,000원
  • 발행일 2005.01.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
교수요목의 구성 원리 1. 학습자 제시 2. 학습자 요구분석 진술 3. 목표 및 목적 4. 결과/과정 중심, 또는 절충식 5. 등급화의 기준 Ⅱ. 구체적인 교수요목 1. 각 단원 별 소개 2. 각 수업의 구성 Ⅲ. 수업교안 맺는 말 참고문헌
  • 페이지 31페이지
  • 가격 3,000원
  • 발행일 2010.02.01
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
최소화하기 위해 신중히 검토하여야 하여야 하며, 개개의 중개업자 또한 노력을 게을리 한다면 부동산중개 서비스의 경쟁력강화는 영원한 과제로 남을 것이다. 따라서 부동산중개업의 모든 조사자들은 전문직업인으로서의 자부심과 사명감
  • 페이지 32페이지
  • 가격 3,500원
  • 발행일 2008.12.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
부분인 만큼 이 부분에 대한 원칙이 명확할 필요가 있다. 사업지구내 기반시설은 시행자 부담으로 하되, 사업지구 주변과 지역간 기반시설의 설치에 필요한 재원은 개발이익 환수 재원 및 세입, 지방채 발행, 프로젝트 파이낸싱 및 부동산신
  • 페이지 73페이지
  • 가격 5,000원
  • 발행일 2010.07.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
교통 여건분석 및 문제점 1. 자전거 교통 여건(SWOT) 분석 2. 자전거 교통의 문제점 Ⅳ. 해외 선진국의 자전거 정책 사례분석 1. 이탈리아 2. 일본 Ⅴ. 자전거 교통정책 활성화 방안 1. 기본방향 2. 세부 추진방안 Ⅵ. 결론 <참고문헌>
  • 페이지 24페이지
  • 가격 3,500원
  • 발행일 2012.06.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기술 동향, 포항공대 전기전자 공학과, IDEC News Letter Octorber, vol.23, no. 5, p.16 ~ p.17 2003. <6> 최 평 Pspice 기초와 활용 - 북두 출판사 2000 p.477 ~ p.479 VCO . <7> 윤 정 배, “두 개의 DLL을 이용한 pulse shrinking delay line 제어회로”, 인하대 대학원 (20
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top